Intel’s Arrow Lake roadmap includes laptop gaming chips too --[Reported by Umva mag]

Intel’s “Arrow Lake” processor plans include both desktop and laptop chips, creating two new performance tiers atop its existing “Lunar Lake” laptop processors plus the Core Ultra 200S desktop processors it’s launching today. Intel disclosed plans for three different product families: the Arrow Lake-S desktop processor as well as the Arrow Lake-HX and Arrow Lake-H processors for laptops. While Intel will begin taking orders for the Core Ultra 200S (Arrow Lake-S) family beginning on October 24, Intel will ship its notebook processors in the first quarter of 2025. A year ago, Intel introduced its 14th-gen desktop processors at an October launch event, then followed with lower-power desktop offerings at CES 2024. All three Arrow Lake families strongly emphasize energy efficiency. On average, Arrow Lake will cut power by 30 percent compared to the previous generation while boosting multithreaded performance by about 10 percent compared to the 14th-generation parts, according to Josh Newman, general manager and vice president of product marketing and management for Intel’s Client Computing Group. And yes, Arrow Lake is Intel’s first desktop chip with an integrated NPU and AI. Arrow Lake also brings Intel’s tiled processor design to the desktop. Although it includes elements of both Core Ultra chips, Meteor Lake and Lunar Lake, its killer selling point will be its low power — real-world power consumption that could be up to half that of the earlier 14th-gen Core chip, Intel claims, with “parity” performance. In the real world, that may translate into gaming performance that’s slower than the 14th-gen Core. Still, Intel claims that Arrow Lake’s graphics performance essentially doubles from the prior generation. The Arrow Lake-S and -HX chips don’t emphasize integrated GPU performance as much. However, a recognition that they’ll be paired with discrete GPUs. Intel’s goals for Arrow Lake. Intel’s goals for Arrow Lake.Intel Intel’s goals for Arrow Lake.Intel Intel Intel’s rollout plan is different this time around. Normally, it explains the underlying architecture of the chip — which this story reports. Today, however, Intel is also disclosing the speeds, prices, and performance of the Core Ultra 200S (Arrow Lake) family, which we’ve covered separately. This might be more interesting to enthusiasts than just how Arrow Lake works, so check out that report, too! We explain in more detail why Intel chose an older, slower NPU for AI functions, and also why hyperthreading has been removed from Arrow Lake yet again. Arrow Lake: Lunar Lake’s CPU, repurposed for desktop PCs Architecturally, Intel executives consider the Arrow Lake-S desktop chip and the mobile Arrow Lake-HX for laptops essentially two versions of the same chip for the enthusiast PC segment — there are differences in the packaging, the power which they draw, and a few other software tweaks. Otherwise, they’re identical, Greg Boots, Arrow Lake’s product marketing manager, said. It’s worth noting that this is the first “disaggregated” CPU in Intel’s desktop lineup, breaking up the “chip” into tiles collected inside a single processor package. Arrow Lake consists of compute, GPU, SOC, and I/O tiles along with a “filler” and “base” tile for stability — all linked together using Intel’s Foveros technology inside a single package. Save for the base tile, all are manufactured at TSMC, like Lunar Lake: the compute (TSMC’s N3B), the GPU (TSMC’s N5P), and the I/O and SOC tiles (TSMC’s N6) are all connected to Intel’s 1227.1 base tile. The Core 200S family all uses 125W of power, consuming up to 250W while operating in turbo mode. This disaggregated , modular approach will be the way Intel designs chips going forward. This disaggregated , modular approach will be the way Intel designs chips going forward.Intel This disaggregated , modular approach will be the way Intel designs chips going forward.Intel Intel For now, the compute tile on both chips will include varying numbers of performance cores (known as Lion Cove), and efficiency cores (code-named Skymont). Intel has quietly begun prioritizing the E-cores, shunting tasks to them first. Skymont may be an “efficiency” core, but Intel more and more is assigning them mainstream tasks. Both the Lion Cove and Skymont cores are the same Lion Cove and Skymont cores that appeared in Lunar Lake, with an additional tweak. Each P-core now has 3MB of level-2 cache apiece rather than 2MB in the 14th-gen Core. Intel performed other internal tricks such as increasing the execution ports, but eliminated hyperthreading to save power and space. Like Lunar Lake, the Arrow Lake chip was designed to maximize single-threaded performance per watt and performance per area. Put another way, Intel is trying to keep the chip as small and as power-efficient as possible while maximizing sing

Oct 10, 2024 - 15:03
Intel’s Arrow Lake roadmap includes laptop gaming chips too --[Reported by Umva mag]

Intel’s “Arrow Lake” processor plans include both desktop and laptop chips, creating two new performance tiers atop its existing “Lunar Lake” laptop processors plus the Core Ultra 200S desktop processors it’s launching today.

Intel disclosed plans for three different product families: the Arrow Lake-S desktop processor as well as the Arrow Lake-HX and Arrow Lake-H processors for laptops. While Intel will begin taking orders for the Core Ultra 200S (Arrow Lake-S) family beginning on October 24, Intel will ship its notebook processors in the first quarter of 2025. A year ago, Intel introduced its 14th-gen desktop processors at an October launch event, then followed with lower-power desktop offerings at CES 2024.

All three Arrow Lake families strongly emphasize energy efficiency. On average, Arrow Lake will cut power by 30 percent compared to the previous generation while boosting multithreaded performance by about 10 percent compared to the 14th-generation parts, according to Josh Newman, general manager and vice president of product marketing and management for Intel’s Client Computing Group. And yes, Arrow Lake is Intel’s first desktop chip with an integrated NPU and AI.

Arrow Lake also brings Intel’s tiled processor design to the desktop. Although it includes elements of both Core Ultra chips, Meteor Lake and Lunar Lake, its killer selling point will be its low power — real-world power consumption that could be up to half that of the earlier 14th-gen Core chip, Intel claims, with “parity” performance.

In the real world, that may translate into gaming performance that’s slower than the 14th-gen Core. Still, Intel claims that Arrow Lake’s graphics performance essentially doubles from the prior generation. The Arrow Lake-S and -HX chips don’t emphasize integrated GPU performance as much. However, a recognition that they’ll be paired with discrete GPUs.

Intel

Intel’s rollout plan is different this time around. Normally, it explains the underlying architecture of the chip — which this story reports. Today, however, Intel is also disclosing the speeds, prices, and performance of the Core Ultra 200S (Arrow Lake) family, which we’ve covered separately.

This might be more interesting to enthusiasts than just how Arrow Lake works, so check out that report, too! We explain in more detail why Intel chose an older, slower NPU for AI functions, and also why hyperthreading has been removed from Arrow Lake yet again.

Arrow Lake: Lunar Lake’s CPU, repurposed for desktop PCs

Architecturally, Intel executives consider the Arrow Lake-S desktop chip and the mobile Arrow Lake-HX for laptops essentially two versions of the same chip for the enthusiast PC segment — there are differences in the packaging, the power which they draw, and a few other software tweaks. Otherwise, they’re identical, Greg Boots, Arrow Lake’s product marketing manager, said. It’s worth noting that this is the first “disaggregated” CPU in Intel’s desktop lineup, breaking up the “chip” into tiles collected inside a single processor package.

Arrow Lake consists of compute, GPU, SOC, and I/O tiles along with a “filler” and “base” tile for stability — all linked together using Intel’s Foveros technology inside a single package. Save for the base tile, all are manufactured at TSMC, like Lunar Lake: the compute (TSMC’s N3B), the GPU (TSMC’s N5P), and the I/O and SOC tiles (TSMC’s N6) are all connected to Intel’s 1227.1 base tile. The Core 200S family all uses 125W of power, consuming up to 250W while operating in turbo mode.

Intel

For now, the compute tile on both chips will include varying numbers of performance cores (known as Lion Cove), and efficiency cores (code-named Skymont). Intel has quietly begun prioritizing the E-cores, shunting tasks to them first. Skymont may be an “efficiency” core, but Intel more and more is assigning them mainstream tasks.

Both the Lion Cove and Skymont cores are the same Lion Cove and Skymont cores that appeared in Lunar Lake, with an additional tweak. Each P-core now has 3MB of level-2 cache apiece rather than 2MB in the 14th-gen Core. Intel performed other internal tricks such as increasing the execution ports, but eliminated hyperthreading to save power and space.

Like Lunar Lake, the Arrow Lake chip was designed to maximize single-threaded performance per watt and performance per area. Put another way, Intel is trying to keep the chip as small and as power-efficient as possible while maximizing single-threaded performance. Turning on just a single thread minimizes power and single-threaded performance is also one of the tricks Apple’s M-series chips use to make the Mac OS operating system feel snappy. To help, Intel uses an “AI” power management system to balance power and performance. But the multiple P- and E-cores have been designed with games in mind, Boots said.

Intel

Arrow Lake should perform about nine percent faster at the same clock speed than the 14th-gen Core chip, Boots said. (This is otherwise known as a 9 percent IPC improvement or instructions-per-clock, representing the improvement from just the design alone).

Within the Skymont E-cores, there are more under-the-hood improvements, from a deepened instruction queue to a reduction in latency. Intel also added additional execution units to improve AI performance, twice what it was before in its 14th-gen Core chips.

Intel estimates that, measured by the spec_rate2017 benchmark, that the single-threaded integer performance in the Skymont (Arrow Lake) E-cores increased by 32 percent versus Gracemont (the 14th-gen P-Cores), while the single-threaded floating-point performance improvement topped 72 percent. Multi-threaded integer performance is 32 percent faster than Gracemont, while multi-threaded performance is 55 percent faster.

Intel

Intel’s Thread Director has also improved. Like Lunar Lake, tasks are routed to the E-cores first, then the P-cores. That prioritizes lower power consumption. Intel claims that how it does this, however, is smarter than how the prior 14th-gen Core chips handled things, with better hardware-based prediction to route tasks to the appropriate E-cores, and then if necessary, to an available P-Core. Intel also improved its prediction model so it can improve the scheduling of these tasks as new workloads drop into the Arrow Lake’s instruction queue.

“That means that it’s able to hold certain tasks to certain cores that are better at any given task,” Boots said.

You still can’t manually route specific threads to specific cores. On the other hand, Intel is quietly pushing technologies like Intel Application Optimization, which “recognizes” a game and immediately pushes the PC to its performance cores first. (Many of the game benchmarks featured in our accompanying real-world Arrow Lake performance preview turn this feature on).

Arrow Lake still supports Modern Standby, which allows the laptop to perform some background tasks while in a low-power state. That’s not necessarily a good thing, according to Arm proponents — X86 CPUs sometimes have issues performing those tasks and resuming their sleep state. Still, Intel believes these other power-saving aspects will overcome this.

“When we couple the massive power improvement with the changes we’re making on Thread Director and with the improved memory bandwidth and with an efficient GPU and NPU…you get an incredible benefit for users,” Boots said.

Intel

Overclockers that want to toss aside Intel’s power savings and push the processor to its limits have additional options, too. The simplest way will be to use Intel’s Extreme Tuning Utility (XTU), which will use AI to enable a one-click overclock of the user’s system. But there are other tweaks for overclockers: Intel’s clock speeds can be incremented in as little as 16.67MHz now, allowing overclockers to eke out the tiniest steps of additional performance. And the tiles won’t all run at the same speed either.

All of the different tiles in the chip can now be independently clocked and controlled. Even the speed in which the tiles communicate with one another can be manipulated, Boots said.

Intel’s desktop PC processors move into the Xe generation

Intel’s Core i9-14900HX chips, also known as Raptor Lake Refresh, continued the legacy of Intel’s 13th-gen Core chips. That meant, in terms of graphics, that they still used Intel’s legacy Execution Unit as the fundamental building block of its iGPU performance. (The 14900HX had 32 EUs with a maximum clock frequency of 1.65GHz). With Lunar Lake and now Arrow Lake, the Xe core is now ascendant, but it’s the first-generation Xe GPU that appeared in Meteor Lake.

Intel

Still, Lunar Lake offers a more powerful integrated iGPU than the new Arrow Lake chip does. Lunar Lake included eight Xe cores, 64 vector engines, four ray-tracing units, and two geometry pipelines, along with 8MB of level-2 cache. Arrow Lake-S and the Arrow Lake-HX chip trim that to four Xe cores, 64 vector engines, one geometry pipeline, four ray-tracing units, and 4MB of level-2 cache. Still, that’s more than twice the performance of the GPU inside the 14th-gen Core chip, Boots said. Arrow Lake also runs at a faster graphical clock speed. XeSS, Intel’s game-frames technology, is also present.

This is also where Intel’s second mobile chip, Arrow Lake-H, differs. Intel isn’t saying a lot about Arrow Lake-H, but it has a robust graphics engine, even if it is still a first-generation Xe core. It has eight Xe cores, 128 vector engines (the most on an Intel integrated GPU so far), 128 XMX engines, two geometry pipelines, eight ray tracing units, and 8 MB of L2 cache. XMX are Intel’s Xe Matrix Extensions, essentially allowing the GPU to process certain AI instructions.

Intel

Arrow Lake shares a common display engine across the Arrow Lake-S, -HX and -H chips. The engine can output up to a single display in either 1080p or 1440p at 360Hz resolution, or to four 4K60 displays or to a single 8K60 display. Both HDMI 2.1 and DisplayPort 2.1 are supported, as well as embedded DisplayPort (eDP) 1.4. The complementary codec engine can decode up to 8K60 in 10-bit HDR, and encode 8K120 video also at 10-bit HDR. The VP9, AVC, HEVC, and the new AV1 codec are supported for both encoding and decoding.

Checking the box for AI

Again, none of these new chips, mobile or desktop, pass the TOPS threshold to qualify as Copilot+ AI-powered PCs. As far as AI is concerned, Arrow Lake-S and Arrow Lake-HX contain just the “NPU 3” architecture of Meteor Lake, with 13 TOPS behind it. To that, Intel adds 15 TOPS of CPU power and eight TOPS of GPU power, for 36 TOPS in all. (Intel measures TOPS, trillions of operations per second, using 8-bit integer or INT8 calculations). Some AI accelerators such as MemryX use trillions of more complex floating-point operations instead.

Arrow Lake-H, the other mobile chip, includes the more powerful GPU engine, also provides 13 TOPS with its own integrated NPU 3 engine. However, its GPU provides 77 TOPS, plus 9 TOPS from the CPU. All told, that’s 99 TOPS in total.

Intel

Intel’s new 800-series chipset for Arrow Lake

Arrow Lake will be accompanied by a new Intel 800-series chipset, which supports both PCI Express 5.0 as well as a pair of Thunderbolt 4 ports for external I/O.

Arrow Lake notebooks and desktops alike will be able to use DDR5-6400 memory — though there doesn’t seem to be support for the lower-power LPDDR5X memory that Lunar Lake used. The chipset will support up to 48GB per DIMM and 192GB total, with optional ECC support. There’s no on-package memory, either, unlike Lunar Lake.

You won’t find the latest connectivity standards, however, and there’s a reason for that. Inside the Intel 800 chipset are 48 PCIe lanes (with 24 PCIe 4 lanes off the processor), Wi-Fi 6E, and Bluetooth 5.3. There are also 32 USB 3.2 lanes, including five dedicated lanes for a 20Gbps connection to an external SSD.

Intel

Both Boots and Newman said that Intel’s PC customers typically differentiate themselves with discrete components that can be added on to the base platform — so, if a customer wanted to, they could buy Intel’s discrete Thunderbolt 5 component, for example, or a Wi-Fi 7 radio. The upcoming Arrow Lake-H mobile platform, however, will include some of the additional connectivity features, integrated in: Wi-Fi 7 and Bluetooth 5.4. Otherwise, everything else is the same. Of course, Intel’s Killer networking software works with Arrow Lake, and can help prioritize network connections.

Finally, there’s security. Arrow Lake -S and -HX are secured-core PCs, providing some internal virtualization techniques to help secure the PC. They’ve also added linear address space separation and Intel’s Silicon Security Engine for additional security.

That’s what makes Arrow Lake tick, at least from an architectural standpoint. I’d recommend that you next turn to the real-world performance of the Arrow Lake / Core Ultra 200S chip itself, and learn how it actually performs in the real world!






The following news has been carefully analyzed, curated, and compiled by Umva Mag from a diverse range of people, sources, and reputable platforms. Our editorial team strives to ensure the accuracy and reliability of the information we provide. By combining insights from multiple perspectives, we aim to offer a well-rounded and comprehensive understanding of the events and stories that shape our world. Umva Mag values transparency, accountability, and journalistic integrity, ensuring that each piece of content is delivered with the utmost professionalism.